Лабораторна
робота № 6
Моделювання й дослідження логіки
роботи тригерів
з використанням програми Electronіcs Workbench
Мета роботи:
Метою лабораторної роботи є одержання практичних навичок у побудові й контролі працездатності тригерів RS-, D-,T- і JK-типів, а також дослідження логіки роботи тригерів у різних режимах методом моделювання з використанням програми Electronіcs Workbench.


Короткий опис і знайомство із програмою
Програма Electronіcs Workbench призначена для побудови элек-тронных схем будь-якої складності (аналогових і цифрових) з наступною перевіркою їхньої роботи методом моделювання. Електронна база, закладена в програмі, містить велика кількість елементів, схем і вузлів цифрові й аналогової техніки, виконаних у вигляді мікросхем.
Для ознайомлення з можливістю програми й методами моделювання роботи електронних схем необхідно використати матеріал, викладений в аналогічному пункті опису лабораторної роботи 5.
Загальні відомості про елементи пам'яті
бортових цифрових обчислювальних пристроїв
Для побудови цифрових пристроїв крім логічних елементів потрібні елементи пам'яті, призначені для зберігання двійкових кодів протягом необхідного часу.

Як статичний елемент пам'яті використаються бістабільні клітинки (БК), що мають два стійких стани. Бістабільні клітинки можуть бути побудовані на двох логічних елементах І-НЕ або АБО-НЕ, з'єднаних перехресними зв'язками (див. малюнок 6.1).
Як елементи пам'яті використаються так звані тригери. Тригер - це цифрова електронна схема із двома стійкими станами, які встановлюються при подачі відповідної комбінації вхідних сигналів і зберігаються після зняття цих сигналів. Структурна схема тригера показана на малюнку 6.2. Тригер має кілька входів і два виходи - прямій і інверсний . Сигнали на виходах тригера завжди мають різні значення. Якщо на прямому виході сигнал дорівнює 1, то на інверсному - 0 і навпаки. Стан тригера визначається значенням сигналу на прямому виході (Q). Якщо сигнал на прямому виході дорівнює 1, то тригер перебуває в стані 1.
Тригери можуть бути синхронними або асинхронними. Якщо зміни сигналу Q відбувається тільки при наявності спеціального сигналу З, що є сигналом синхронізації, то такий тригер називається синхронним тригером. Синхронізація тригера може відбуватися або за рівнем сигналу, або по фронті сигналу (передньому або задньому).
Асинхронний тригер не має входу синхронізації, тому перемикання тригера відбувається тільки при надходженні на вхід інформаційних вхідних сигналів X.
Логіка перемикання тригера з одного стану в інше залежить від кількості й призначення входів. Найбільше часто використаються в цифровій техніці наступні типи тригерів: RS-тригери, JK-тригери, D-тригери й T-тригери. Буквами R, S, J, K, D і T позначаються інформаційні входи тригерів (Х).
Асинхронні й синхронні тригери різних типів
Асинхронні RS-тригери
Асинхронний RS-тригер має два інформаційних входи - R і S. Вхід S використається для установки тригера в стан 1, а вхід R - для установки в стан 0.
Робота тригера описується таблицею переходів, що має вигляд таблиці 6.1.
Таблиця 6.1
Входи
Стани

R
S
Q(0)
Q(1)

0
0
0
1

0
1
1
1

1
0
0
0

1
1
Не визначено

З таблиці 6.1 може бути отримане рівняння переходів тригера. Після мінімізації (наприклад, з використанням карт Карно) рівняння переходів прийме вид:



З рівняння випливає, що при S=1, R=0 завжди Qt+1=1, при S=0, R=1 завжди Qt+1=0, а при S=0, R=0 Qt+1=Qt. Комбінація сигналів S=1, R=1 є забороненої, так стан тригера не визначено.
Для побудови тригера на елементах І-НЕ рівняння необхідно перетворити (подвійним інвертуванням) до іншого виду:

Для побудови тригера на елементах АБО-НЕ рівняння має вигляд:

Функціональні схеми асинхронних RS-тригерів, побудовані на елементах АБО-НЕ (ліворуч) і І-НЕ (праворуч), і їх умовні графічні позначення (УГП) показані на малюнку 6.3.
Як видно з малюнка 6.3, асинхронний RS-тригер являє собою бистабильную осередок, побудований на елементах І-НЕ або АБО-НЕ.
При побудові RS-тригера на елементах І-НЕ діючими настановними сигналами є інверсні значення інформаційних сигналів R і S.
Синхронні RS-тригери
Синхронний тригер додатково має вхід синхронізації C, на який надходить синхросигнал. Інформаційні сигнали R і S впливають на стан тригера тільки при значенні синхросигнала З=1.
Таблиця переходів синхронного RS-тригера складається із двох частин. Перша частина таблиці описує переходи тригера при З=1 і збігається з таблицею переходів асинхронного тригера (див. таблицю 6.1), а друга - при З=0.
При З=0 тригер не міняє свого стану при будь-якій комбінації сигналів на інформаційних входах R і S. У цьому випадку завжди Qt+1= Qt.
Рівняння синхронного RS-тригера має вигляд:

З рівняння випливає, що при С=0 Qt+1= Qt, а при С=1 . робота описується рівнянням асинхронного тригера. На малюнку 6.4 наведені функціональні схеми синхронних RS-тригерів, реалізованих на елементах І - НЕ для рівняння

і на елементах І-АБО-НЕ для рівняння

На малюнку 6.4, крім основних входів R і S, показані дополни-тельные інверсні асинхронні входи R1 і S1.
Двотактні RS-тригери
Тригери в ЕОМ використаються в різних вузлах, між якими здійснюється передача інформації. Усталена робота ланцюжка тригерів можлива тільки в тому випадку, якщо запис нової інформації в тригер здійснюється після зчитування раніше записаної інформації й передачі її в наступний по ланцюжку тригер. Це можливо при використанні двох серій синхроімпульсів, ззунутих один відносно одного на 180о. Такий принцип керування й синхронізації застосовується у двотактних тригерах.
Найпростіша схема двотактного RS-тригера може бути побудована на двох однотактных тригерах, причому синхроімпульси на входи С першого й другого тригерів повинні подаватися в противофазе. Це робиться за допомогою інвертора (див. малюнок 6.5).


При надходженні на вхід першого однотактного тригера імпульсу С=1 інформація на входах R і S установлює тригер у відповідний новий стан Qt+1, а другий однотактный тригер зберігає інформацію про попередній стан Qt, тому що на його вході Із сигнал дорівнює нулю. По закінченні дії синхроімпульсу, тобто при З=0, перший тригер переходить у режим зберігання, а інформація Qt+1, записана в першому тригері, передається в другий, тому що на його вході Із сигнал стає рівним одиниці. У результаті до початку наступного такту на виході двотактного RS-тригера з'явиться сигнал, обумовлений з Qt+1 першого тригера. У такому тригері вихідний сигнал формується по задньому фронті синхроімпульсу.
Двотактний синхронний RS-тригер може бути використаний для побудови інших типів тригерів, таких як D-, T- і JK-тригерів.
Для установки RS-тригера в 0 або 1 незалежно від присутності сигналу на вході С у схему вводять прямі або інверсні входи R і S асинхронної установки, як показано на малюнку 6.6.
Асинхронний і синхронний D-тригери
В обчислювальній техніці широко застосовується D-тригер, що реалізує функцію тимчасової затримки вхідного сигналу. D-тригер має один інформаційний вхід. Логіка роботи асинхронного D -тригера описується таблицею переходів, що має вигляд таблиці 6.2.
По таблиці 6.2 може бути записане рівняння переходів D-тригера:
Qt+1 = Dt,
де: t - сучасний момент часу; t+1 - наступний момент часу.
Таблиця 6.2
Вхід
Стан

D
Q(0)
Q(1)

0
0
0

1
1
1

Як видно з рівняння, в асинхронному D-тригері стан (вихідного сигнал) Qt+1 повторює значення вхідного сигналу Dt. Тому асинхронний D-тригер по суті є не елементом пам'яті, а елементом затримки, і розглядається тільки як основа для побудови синхронного D-тригера.
Функціональна схема й УГО асинхронного D-тригера, побудованого на основі асинхронного RS-тригера, показані на малюнку 6.7.
.

Для побудови лічильників, регістрів і інших цифрових схем використаються синхронні D-тригери як однотактні, так і двотактні. Логіка роботи синхронного D-тригера описується таблицею переходів, що має вигляд таблиці 6.3.
Таблиця 6.3
Вхід
Стан

C
D
Q(0)
Q(1)

1
0
0
0

1
1
1
1

0
0
0
1

0
1
0
1

Рівняння переходів синхронного тригера, записане по таблиці 6.3, має такий вигляд:

Відповідно до рівняння синхронний D-тригер при С=0 зберігає свій стан, а при С=1 працює як асинхронний.
Функціональна схема синхронного D-тригера на елементах АБО-НЕ наведена на малюнку 6.8.


Функціональна схема двотактного D-тригера, побудованого на основі двотактного RS- тригера, наведена на малюнку 6.9.
Асинхронний і синхронний T-тригери
Т-триггер має один інформаційний вхід. Логіка роботи асинхронного Т-триггера може бути описана таблицею переходів, що має вигляд таблиці 6.4.
Таблиця 6.4
Вхід
Стан

Т
Q(0)
Q(1)

0
0
1

1
1
0

По таблиці 6.4 може бути отримане наступне рівняння асинхронного Т-триггера:

Як видно з таблиці 6.4 і рівняння тригера, при Т=1 асинхронний Т-триггер міняє свій стан на протилежне, а при Т=0 стан тригера не змінюється.
Тому що Т-триггер підсумує (або підраховує) по модулі дві кількості одиниць, що надходять на його інформаційний вхід, то Т-триггер називають також тригером з лічильним входом.
Логіка роботи синхронного Т-триггера описується таблицею переходів, що має вигляд таблиці 6.5.
Таблиця 6.5
Вхід
Стан

C
Т
Q(0)
Q(1)

0
0
0
1

0
1
0
1

1
0
0
1

1
1
1
0

З таблиці 6.5 видно, що при С=0 тригер не змінює свого стану, а при С=1 працює як асинхронний Т-триггер.
Функціональна схема Т-триггера може бути побудована на основі синхронного RS-тригера (однотактного або двотактного).

Схема асинхронного Т-триггера наведена на малюнку 6.10, а синхронного Т-триггера - на малюнку 6.11. Обидві схеми побудовані на основі синхронного двотактного RS-тригера. Аналогічні схеми можна будувати на основі однотактного RS-тригера. У двотактних асинхронних Т-триггерах вихідний сигнал формується по задньому фронті вхідного сигналу Т, а в однотактных - по передньому фронті. У двотактних синхронних Т-триггерах вихідний сигнал формується по задньому фронті сигналу С.
Схему асинхронного Т-триггера, у свою чергу, можна одержати з D-тригера простою комутацією входів і виходів (див. малюнок 6.12.).

JK-тригер
JK-тригер називається також універсальним тригером. Універсальність схеми JK-тригера полягає в тому, що простою комутацією входів і виходів можна одержувати схеми інших типів тригерів.
JK-тригер має два інформаційних входи. Вхід J використається для установки тригера в стан 1, а вхід К -для установки в стан 0, тобто входи J і До аналогічні входам R і S RS-тригера. Відмінність полягає в тому, що на входи J і К можуть одночасно надходити сигнали 1.У цьому випадку JК- тригер змінює свій стан на протилежне.
Таблиця переходів JK-тригера при З=1 має вигляд таблиці 6.6.
Таблиця 6.6
Входи
Стани

J
K
Q(0)
Q(1)

0
0
0
1

0
1
0
0

1
0
1
1

1
1
1
0

З таблиці 6.6 можна одержати наступне рівняння JK-тригера:


Отже, при J=1, K=0 завжди Qt+1=1, а при J=0, K=1 завжди Qt+1=0, тобто JK-тригер працює як RS-тригер, якщо розглядати входи J і K як входи S і R.
У свою чергу, при J=1, K=1 Qt+1=Qt, тобто тригер переходить у протилежний стан (працює як Т-триггер).
Функціональна схема двотактного JK-тригера й УГП тригера показані на малюнку 6.13. Приклади одержання інших типів тригерів на основі JK-тригера представлені на малюнок 6.14.

JK-тригер, окрім основних інформаційних входів і входу синхронізації, може мати також додаткові інформаційні входи, наприклад, додаткові інверсні асинхронні входи R і S, які використаються для установки тригера в 0 або 1 незалежно від значення сигналу на вході синхронізації. Крім того, тригер може мати кілька входів J або K, об'єднаних за схемою логічного І.
Підготовка до виконання роботи
При підготовці до роботи необхідно:
1.Повторити теоретичний матеріал, викладений у даному описі.
2.Повторити матеріал по можливостях програми, викладений в описі до лабораторної роботи 5.
Порядок виконання роботи
Завдання 1. Побудувати на елементах 2І-НЕ та 2АБО-НЕ схеми асинхронних RS- тригерів (див. малюнок 6.3) і досліджувати логікові їхньої роботи в статичному режимі. Для цього зібрати схеми з використанням пробників і перемикача.
Шляхом моделювання роботи тригерів одержати таблиці переходів і зрівняти їх з таблицею 6.1. Зразки схем для моделювання наведені на малюнку 6.15. Досліджувані схеми й таблиці занести у звіт.
Завдання 2. Побудувати на елементах 2І-НЕ й 2-2І-2АБО-НЕ схеми синхронних RS- тригерів (див. малюнок 6.4) і досліджувати логікові їхньої роботи в статичному режимі. Зразки схем для моделювання наведені на малюнку 6.16 і 6.17. Як елементи 2-2І-2АБО-НЕ використана мікросхема 7455, у якій розташовується елемент 4-4І-2АБО-НЕ. Досліджувані схеми й таблиці занести у звіт.


Завдання 3. Досліджувати в статичному режимі логікові роботи RS-тригера, що є в бібліотеці програми. Для цього зібрати схему, показану на малюнку 6.18. Одержати таблицю переходів тригера й зрівняти її з таблицею 6.1. Досліджувану схему й таблицю занести у звіт.
Завдання 4. Досліджувати в статичному режимі логікові роботи двухтакт-ного RS-тригера. Для цього зібрати схему, показану на малюнку 6.19. Одержати таблицю переходів тригера й зрівняти її з таблицею 6.1. Досліджувану схему й таблицю занести у звіт.


Завдання 5. Досліджувати в статичному режимі логікові роботи асинхронного D-тригера. Для цього зібрати схему, показану на малюнку 6.20. Одержати таблицю переходів тригера й порівняти її з таблицею 6.3. Досліджувану схему й таблицю занести у звіт.


Завдання 6. Досліджувати в динамічному режимі логікові роботи асинхронного D-тригера. Для цього зібрати схему, показану на малюнку 6.21. Для візуального спостереження роботи схеми встановити частоту генератора 1 Гц. Замалювати отриману осциллограмму. Досліджувану схему й таблицю занести у звіт.
Завдання 7. Зібрати й досліджувати в статичному режимі схему синхронного D- тригера на елементі 2І-2І-2АБО-НЕ, у якості якого використати мікросхему 7451 з 2-ма елементами 2І-2І-2АБО-НЕ. Схема для дослідження показана на малюнку 6.22. Результати дослідження занести в звіт.
Завдання 8. Зібрати й досліджувати мікросхему 7474, що складається з 2-х синхронних D-тригерів. Схема показана на малюнку 6.23. Результати дослідження занести у звіт.
Завдання 9. Зібрати схему й досліджувати роботу асинхронного Т-триггера, побудованого на базі синхронного D-тригера в статичному режимі.





Відповідна схема показана на малюнку 6.24. Як синхронний D-тригер використати мікросхему 7474 з додатковими асинхронними входами установки й скидання (інверсні входи R і S). Результати дослідження занести у звіт.
Завдання 10. Досліджувати роботу синхронного JK-тригера в динамічному режимі. Для цього зібрати схему, показану на малюнку 6.25. При подачі на входи J і K сигналів високого рівня, а на вхід синхронізації імпульсів від генератора, тригер буде працювати в режимі перемикання із частотою у два рази нижче, ніж частота генератора. Для візуальної індикації підключити осцилограф до виходів генератора й тригера.


Завдання 11. Зібрати схему й досліджувати роботу синхронного JK-тригера в статичному режимі. Відповідна схема показана на малюнку 6.26. Як синхронний JK-тригер використати мікросхему 74112. Результати дослідження занести у звіт.
Зміст звіту
У звіт про виконану роботу включити наступні матеріали:
1. тему і мету роботи;
2. результати виконання завдань: досліджувані схеми, отримані таблиці переходів;
3. аналіз отриманих результатів;
4. висновки по роботі.
Контрольні питання
З яких логічних елементів можна побудувати схему тригера?
Чим відрізняються синхронні тригери від асинхронних тригерів?
Чи можна побудувати схему D-тригера на основі RS- тригера ?
Як побудувати схему Т-триггера, якщо використати схему RS- тригера й логічні елементи?
У яких випадках таблиця переходів JK-тригера збігається з таблицею переходів RS-тригера, у яких випадках відрізняється?
Чому JK-тригер називається універсальним тригером?
Чому Т-триггер називається тригером з рахунковим входом?
На який час може бути затримана установка синхронного D-тригера стосовно сигналу на його вході?
На який час може бути затримана установка в 1 асинхронного
D-тригера стосовно сигналу на його вході?
Чим відрізняється двотактний тригер від однотактного тригера ?