356
Іспит. ПТЦА. Лютий 2009 (попередня версія 2)

1
Місце курсу Прикладна Теорія Цифрових Автоматів у системі наук інформаційної сфери.

2
Визначення автомату

3
Визначення матерії

4
Визначення інформації

5
Визначення даних

6
Аналогові дані

7
Дискретні дані

8
Цифрові дані

9
Визначення терміну "сигнал"

10
Визначення поняття "теорія"

11
Математичні основи ПТЦА: степені 2 (від 0 до 10 степеню) у 10-ій системі числення

12
Математичні основи ПТЦА: степені 2 (від 0 до 10 степеню) у 2-ій системі числення

13
Математичні основи ПТЦА: степені 2 (від 0 до 10 степеню) у 8-ій системі числення

14
Математичні основи ПТЦА: степені 2 (від 0 до 10 степеню) у 16-ій системі числення

15
Математичні основи ПТЦА: степені 2 (від -1 до -10 степеню) у 10-ій системі числення

16
Математичні основи ПТЦА: степені 2 (від -1 до -10 степеню) у 2-ій системі числення

17
Математичні основи ПТЦА: степені 2 (від -1 до -10 степеню) у 8-ій системі числення

18
Математичні основи ПТЦА: степені 2 (від -1 до -10 степеню) у 16-ій системі числення

19
Латинська абетка

20
Грецька абетка

21
Факторіал

22
Математичний символ сумування

23
Способи обрахування двійкового логарифму

24
Аналогові та цифрові методи представлення та обробки інформації та їх порівняння.

25
ЦАП

26
АЦП

27
Імпульс та його характеристики

28
Амплітуда імпульса

29
Період імпульсів

30
Частота імпульсів

31
Тривалість імпульса

32
Фронт імпульса

33
Визначення дискретизації

34
Визначення квантування

35
Квантування у часі

36
Квантування за рівнем

37
Крок квантування

38
Рівень квантування

39
Квант

40
Варіанти квантування

41
Теорема Котельникова

42
Критерій Найквіста

43
Міри інформації

44
Структурні міри інформації

45
Комбінаторні міри інформації

46
Семантичні міри інформації

47
Адитивна двійкова міра Хартлі

48
Адитивна двійкова логарифмічна міра Хартлі

49
Одиниці кількості інформації

50
Префікси одиниць кількості інформації

51
Поняття ентропії та її властивості

52
Структурна схема передачі (обробки) інформації

53
Повідомлення, алфавіт, літера, слово.

54
Кодування літер двійковими символами

55
Переваги двійкового кодування літер

56
Кодова таблиця КОІ-7

57
Кодова таблиця КОІ-8

58
Кодові таблиці Windows (1251)

59
Кодові таблиці Unicode

60
Фізичне представлення двійкових символів

61
Види представлення двійкових символів

62
Способи передачі та обробки двійкових символів

63
Шістнадцятькова система числення

64
Вісімкова система числення

65
Двійкова система числення

66
Переведення чисел до 10-кової системи числення з системи числення з основою k

67
Переведення цілих чисел з 10-кової системи числення в систему числення з основою k

68
Переведення дробових чисел з 10-кової системи числення в систему числення з основою k

69
Переведення чисел з 16-кової й 8-кової систем у двійкову і зворотне переведення чисел

70
Ефективне кодування

71
Алгоритм ефективного кодування Шеннона – Фано

72
Система залишкових класів

73
Переведення чисел із позиційної десяткової системи числення до системи залишкових класів

74
Переведення чисел з системи залишкових класів до позиційної десяткової системи числення

75
Контроль на парність

76
Контроль на непарність

77
Код Хеммінга

78
Протигоночне сусіднє кодування

79
Карти Карно

80
Визначення помилкових станів при зміні двійкових чисел

81
Перегони сигналів у цифрових схемах

82
Виявлення перегонів сигналів

83
Визначення можливості виникнення перегонів сигналів за допомогою Карт Карно.

84
Визначення можливості виникнення перегонів сигналів у записі Квайна-МакКласкі.

85
Визначення алгоритму

86
Властивості алгоритму

87
Способи представлення алгоритму

88
Реалізація алгоритму, гіпотеза Черча

89
Формальні алгоритмічні системи

90
Універсальні формальні алгоритмічні системи

91
Рекурсивні функції

92
Визначення цифрового автомата

93
Складові частини цифрового автомата

94
Характеристики цифрового автомату

95
Класифікація цифрового автомата за способом формування вихідних сигналів

96
Автомат Мілі

97
Автомат Мура

98
Способи об'єднання цифрових автоматів

99
Ієрархічні структури

100
Визначення алгебри логіки

101
Функції алгебри логіки

102
Технічна реалізація функцій алгебри логіки

103
Кількість наборів змінних

104
Кількість функцій алгебри логіки, що формально залежать від n змінних

105
Кількість функцій алгебри логіки, що реально залежать від n змінних

106
Суперпозиція і перестановка

107
Базові функції алгебри логіки

108
Функції алгебри логіки 0 змінних

109
Функції алгебри логіки 1 змінної

110
Функції повторення і заперечення

111
Функції алгебри логіки 2 змінних, що зводяться до функцій 0 і 1 змінної

112
Функції алгебри логіки 2 змінних, що не зводяться до функцій 0 і 1 змінної

113
Функції диз’юнкція, кон’юнкція, інверсія

114
Функції І, АБО, НЕ

115
Функції заперечення диз’юнкції, заперечення кон’юнкції

116
Функції І-НЕ, АБО-НЕ

117
Функції NAND, NOR

118
Функція XOR

119
Функції логічного множення і логічного додавання

120
Функція мінімума

121
Функція максимума

122
Функції імплікації

123
Функції додавання за модулем 2, еквівалентність

124
Функція виключне АБО

125
Функція рівнозначності

126
Функції алгебри логіки 3 змінних, що зводяться до функцій 0, 1 і 2 змінних

127
Деякі функції алгебри логіки 3 змінних, що не зводяться до функцій 0, 1 і 2 змінних

128
Мажоритарна функція

129
Міноритарна фугкція

130
Національні умовні позначення елементів, що реалізують функції алгебри логіки

131
Американські умовні позначення елементів, що реалізують функції алгебри логіки

132
5 властивостей функцій алгебри логіки

133
Функції, що зберігають константу 0 і 1

134
Самодвоїсність ФАЛ

135
Монотонність ФАЛ

136
Лінійність ФАЛ

137
Теорема Поста-Яблонського про функціональну повноту

138
Визначення функціональної повноти системи функцій алгебри логіки

139
Функціонально повні системи, що використовуються на практиці

140
Монобазиси

141
Базис Буля, переваги базису Буля

142
Основні правила виконання логічних операцій в базисі Буля

143
Основні закони алгебри Буля

144
Правила де Моргана

145
Диз'юнктор

146
Кон'юнктор

147
Інвертор

148
Елемент NAND

149
Елемент NOR

150
Елемент XOR

151
Способи представлення функцій алгебри логіки

152
Таблиця істинності ФАЛ

153
Представлення ФАЛ за допомогою карт Карно

154
Перехід від скороченої до досконалої нормальної форми

155
Не повністю визначені функції алгебри логіки.

156
Класифікація аналітичних форм представлення функцій алгебри логіки.

157
Визначення терма.

158
Нормальні форми представлення функцій алгебри логіки.

159
ДНФ

160
КНФ

161
Диз'юнктивна нормальна форма

162
Кон'юнктивна нормальна форма

163
Мінімізація з використання карт Карно за 1

164
Мінімізація з використання карт Карно за 0

165
Метод Квайна-МакКласкі-Петрика.

166
Мінімізація неповністю визначених функцій алгебри логіки.

167
Синтез схем у базисі Буля

168
Реалізація інвертора на КМОН-транзисторах

169
Реалізація елемента 2І-НЕ на КМОН-транзисторах

170
Реалізація елемента 2АБО-НЕ на КМОН-транзисторах

171
Розрахунок кількості транзисторів в елементах базиса Буля

172
Розрахунок кількості транзисторів в елементах монобазиса з довільною кількістю входів

173
Поліном Жегалкіна

174
Карти Карно

175
Особливості синтезу ФАЛ у небулевих базисах

176
Синтез схем у монобазисі І-НЕ

177
Синтез схем у монобазисі АБО-НЕ

178
Базис Жегалкіна

179
Основні правила виконання логічних операцій в базисі Жегалкіна

180
Мажоритарний базис

181
Пороговий базис

182
Нейроподібні структури

183
Варіанти визначення "ціни" комбінаційної схеми

184
Канонічна задача мінімізації ФАЛ

185
Загальна задача мінімізації ФАЛ

186
Класифікація методів рішення канонічної задачі мінімізації

187
ДДНФ

188
ДКНФ

189
Досконалі нормальні форми представлення функцій алгебри логіки.

190
Скорочені нормальні форми представлення функцій алгебри логіки.

191
Тупикові нормальні форми представлення функцій алгебри логіки.

192
Мінімальні нормальні форми представлення функцій алгебри логіки.

193
Абсолютно мінімальні нормальні форми представлення функцій алгебри логіки.

194
Анормальні форми представлення функцій алгебри логіки.

195
Дужкові форми представлення функцій алгебри логіки.

196
Послідовність синтезу комбінаційних цифрових автоматів

197
Критерії синтезу комбінаційних цифрових автоматів

198
Швидкодія цифрових схем.

199
Способи доведення тотожності двох ФАЛ

200
Тризначна логіка Лукасевича

201
Багатозначна логіка Лукасевича

202
Багатозначна логіка Поста

203
Усунення перегонів сигналів. Сполучний терм.

204
Базові комбінаційні вузли

205
Повні дешифратори

206
Дешифратори з входом вибору кристала, умовне графічне позначення, таблиця істинності

207
Дешифратор “2–> 4”, умовне графічне позначення, внутрішня схема, таблиця істинності

208
Дешифратор “3 –> 8”, умовне графічне позначення, внутрішня схема, таблиця істинності

209
Дешифратор “4 –> 16”, умовне графічне позначення, внутрішня схема, таблиця істинності

210
Синтез ФАЛ на дешифраторі

211
Нарощування розрядності дешифратора (каскадне з’єднання дешифраторів)

212
Мультиплексор “4 -> 1”, умовне графічне позначення, внутрішня схема, таблиця істинності

213
Мультиплексор “8 -> 1”, умовне графічне позначення, внутрішня схема, таблиця істинності

214
Мультиплексор “16 -> 1”, умовне графічне позначення, внутрішня схема, таблиця істинності

215
Нарощування розрядності мультиплексора (каскадне з’єднання мультиплексорів)

216
Синтез ФАЛ на мультиплексорі

217
Демультиплексор "1->2", умовне графічне позначення, внутрішня схема, таблиця істинності

218
Демультиплексор "1->4", умовне графічне позначення, внутрішня схема, таблиця істинності

219
Демультиплексор "1->8", умовне графічне позначення, внутрішня схема, таблиця істинності

220
Демультиплексор "1->16", умовне графічне позначення, внутрішня схема, таблиця істинності

221
Шифратор, умовне графічне позначення, внутрішня схема, таблиця істинності

222
Пріоритетний шифратор, умовне графічне позначення, внутрішня схема, таблиця істинності

223
Двійково-десяткові коди

224
Постійний запам'ятовуючий пристрій (ПЗП)

225
Синтез ФАЛ на ПЗП

226
Програмована матриця логіки (ПМЛ)

227
Синтез ФАЛ на ПМЛ

228
Програмована логічна матриця (ПЛМ)

229
Синтез ФАЛ на ПЛМ

230
Порівняння ПЗП, ПЛМ та ПМЛ

231
Спрощена схема дешифратора як матричної структури

232
Спрощена схема дешифратора з входом вибору кристалу як матричної структури

233
Спрощена схема мультиплексора як матричної структури

234
Спрощена схема ПЗП як матричної структури

235
Спрощена схема ПЛМ як матричної структури

236
Спрощена схема ПМЛ як матричної структури

237
Перетворювачі двійково-десяткових кодів на ПЛМ

238
Структурна схема комп'ютера

239
Процесор

240
Пристрій управління комп'ютера як цифровий автомат

241
Операційний автомат

242
Однорозрядний напівсуматор

243
Однорозрядний повний суматор

244
Багаторозрядний суматор

245
Реалізація суматора на ПЗП

246
Схема порівняння (=)

247
Вузол порівняння на базі дешифроаторів

248
Вузол порівняння на базі мультиплексорів

249
Універсальна схема порівняння (<, >, =)

250
Багаторозрядні схеми порівняння

251
Суматор на ПЗП

252
Вузол прискорення переносу

253
Суматор з наскрізним переносом

254
Суматор з груповим переносом

255
АЛП

256
Виконання арифметичних операцій АЛП

257
Виконання логічних операцій АЛП

258
Кодування операцій АЛП

259
Матричний помножувач

260
Комірка Гілда

261
Послідовнісні цифрові автомати

262
Елемент затримки.

263
Часові ФАЛ першого роду

264
Часові ФАЛ другого роду

265
Часові ФАЛ третього роду

266
Функція, що змінює час - зворотній зв'язок

267
Функціонально повна система часових ФАЛ

268
Визначення тригера

269
Загальна схема тригера

270
Класифікація тригерів

271
Синхронні тригери

272
Асинхронні тригери

273
Стани тригера

274
Принцип роботи генератора синхроімпульсів

275
RS-тригер

276
неR-неS-тригер

277
Заборонені стани RS-тригера

278
Заборонені стани неR-неS-тригера

279
Тригера, що називаються Latch

280
D-тригер, що спрацьовує по тілу синхроімпульса

281
Тригери, що називаються Flip-flop

282
D-тригер, що спрацьовує по фронту синхроімпульса

283
Двоступеневий D-тригер

284
Умовні позначення входів синхронізації тригерів

285
Призначення входу С у тригерах

286
Призначення входу R у тригерах

287
Призначення входу S у тригерах

288
Призначення входу D у тригерах

289
Призначення входу J у тригерах

290
Призначення входу K у тригерах

291
Призначення входу СE у тригерах

292
Т-тригер, умовне графічне позначення, таблиця істинності, часова діаграма

293
JK-тригер, умовне графічне позначення, таблиця істинності, часова діаграма

294
Тригери з входом дозволу синхроімпульсів

295
Поєднання синхронних і асинхронних входів у тригерах

296
Сигнали збудження тригерів

297
Часова діаграма роботи RS-тригера

298
Часова діаграма роботи D-тригера, що спрацьовує по фронту синхроімпульса

299
Часова діаграма роботи D-тригера, що спрацьовує по тілу синхроімпульса

300
Часова діаграма роботи T-тригера

301
Часова діаграма роботи JK-тригера

302
Граф автомата RS-тригера

303
Граф автомата D-тригера

304
Граф автомата T-тригера

305
Граф автомата JK-тригера

306
Оперативний запам'ятовуючий пристрій

307
Регістри, класифікація

308
Паралельні регістри

309
Регістри з асинхронними та синхронними входами

310
Регітр зсуву

311
Універсальний регістр зсуву

312
Найпростіший лічильник

313
Граф автомата лічильника

314
Структура цифрового автомата

315
Класифікація цифрових автоматів за типом елементів комбінаційної частини

316
Класифікація цифрових автоматів за типом елементів пам'яті

317
Класифікація цифрових автоматів за принципом формування вихідних сигналів

318
Мікропрограмні автомати

319
Способи кодування станів автомата

320
Функція переходів автомата

321
Функція виходів автомата

322
Таблиця переходів автомата

323
Таблиця виходів автомата

324
Абстрактний автомат

325
Структурний автомат

326
Правила переходу від блок-схеми алгоритму до графа автомата Мура

327
Правила переходу від блок-схеми алгоритму до графа автомата Мілі

328
Послідовність синтезу цифрових автоматів

329
Логічні операції над числами в двійковій системі числення.

330
Додавання чисел у двійковій системі числення.

331
Віднімання чисел у двійковій системі числення.

332
Переповнення при виконанні додавання та віднімання.

333
Прямий код

334
Обернений код.

335
Доповняльний код.

336
Модифікований доповняльний код

337
Класифікація зсувів

338
Логічні зсуви двійкових чисел.

339
Циклічні зсуви двійкових чисел.

340
Арифметичні зсуви двійкових чисел.

341
Множення чисел у двійковій системі числення.

342
Ділення чисел у двійковій системі числення.

343
Заокруглення двійкових чисел

344
Формати представлення чисел

345
Формати чисел з фіксованою комою

346
Формати чисел з рухомою комою

347
Порівняння форматів з рухомою і фіксованою комами

348
Виконання додавання і віднімання чисел у форматі з рухомою комою

349
Виконання множення чисел у форматі з рухомою комою

350
Виконання ділення чисел у форматі з рухомою комою

351
Особливі ситуації при виконанні операцій над числамі у форматі з рухомою комою

352
Нормалізація мантиси

353
Нормалізована мантиса

354
Денормалізована мантиса

355
Синхронні та асинхронні цифрові автомати

356
Перетворювач двійкового коду у код семисегментного індикатора


61
Практичні питання

1
Визначити і підкреслити, якою буде друга цифра після коми результату переведення десяткового числа 0,1 до 16-кової системи числення

2
Визначити і підкреслити, якою буде друга цифра після коми результату переведення десяткового числа 0,1 до 8-кової системи числення

3
Визначити і підкреслити, якою буде друга цифра після коми результату переведення десяткового числа 0,1 до 2-кової системи числення

4
Визначити і підкреслити, якою буде друга цифра після коми результату переведення шістнадцяткового числа 0,1 до 10-кової системи числення

5
Визначити і підкреслити, скільки (у 16-ковій системі числення) клітинок містить карта Карно на 2 змінні

6
Підкреслити усі назви логічної функції Логічне множення: І; АБО; НЕ; min; max; XOR; AND; OR; NAND; NOR; імплікація; диз'юнкція; кон'юнкція; інверсія; заперечення; І-НЕ; АБО-НЕ; Буля; Жегалкіна; Моргана; Шеффера; Пірса; Шеннона; Фано; Хеммінга; Черча; Хартлі; логічне множення; логічне додавання; якщо … , то ...; додавання за модулем 2; мажор; мінор; еквівалентність; рівнозначність; const 0; const 1; лінійність; самодвоїсність; монотонність

7
Визначити і підкреслити, скільки КМОН-транзисторів (у 16-ковій системі числення) містить елемент 2І-НЕ

8
Визначити і підкреслити, скільки КМОН-транзисторів (у 16-ковій системі числення) містить елемент 2АБО-НЕ

9
Визначити і підкреслити, яким буде результат обчислення мажоритарної функції, якщо її аргументами є 3 молодших розряди двійкового еквіваленту десяткового числа 753

10
Визначити і підкреслити, яким буде результат обчислення міноритарної функції, якщо її аргументами є 3 молодших розряди двійкового еквіваленту десяткового числа 578

11
Визначити і підкреслити, яким буде результат обчислення функції доповнення до парності, якщо її аргументами є 4 розряди двійкового еквіваленту десяткового числа 901

12
Визначити і підкреслити, яким буде результат обчислення функції доповнення до непарності, якщо її аргументами є 4 розряди двійкового еквіваленту десяткового числа 375

13
Визначити і підкреслити, скільки (у 16-ковій системі числення) клітинок містить карта Карно на 2 змінні

14
Мінімізувати за "1" і за "0" (результати - ДНФ та КНФ, відповідно) за допомогою карти Карно ФАЛ3, яка має на 3-му і 6-му наборах невизначені значення, а на інших наборах (починаючи з 7-го і закінчуючи 0-им набором) значення представляються 6-ма молодшими розрядами 16-кового числа 1, починаючи із старшого з них. Мінімізовану функцію реалізувати на елементах базиса Буля з довільною кількістю входів.

15
Мінімізувати за "1" і за "0" (результати - ДНФ та КНФ, відповідно) за допомогою карти Карно ФАЛ3, яка має на 3-му і 6-му наборах невизначені значення, а на інших наборах (починаючи з 7-го і закінчуючи 0-им набором) значення представляються 6-ма молодшими розрядами 16-кового числа 1. Мінімізовану функцію реалізувати на елементах базиса Буля з кількістю входів не більше 2.

16
Мінімізувати за "1" і за "0" (результати - ДНФ та КНФ, відповідно) за допомогою карти Карно ФАЛ3, яка має на 3-му і 6-му наборах невизначені значення, а на інших наборах (починаючи з 7-го і закінчуючи 0-им набором) значення представляються 6-ма молодшими розрядами 16-кового числа 1. Мінімізовану функцію реалізувати на елементах монобазиса І-НЕ з довільною кількістю входів.

17
Мінімізувати за "1" і за "0" (результати - ДНФ та КНФ, відповідно) за допомогою карти Карно ФАЛ3, яка має на 3-му і 6-му наборах невизначені значення, а на інших наборах (починаючи з 7-го і закінчуючи 0-им набором) значення представляються 6-ма молодшими розрядами 16-кового числа 2. Мінімізовану функцію реалізувати на елементах монобазиса І-НЕ з довільною кількістю входів.

18
Мінімізувати за "1" і за "0" (результати - ДНФ та КНФ, відповідно) за допомогою карти Карно ФАЛ3, яка має на 3-му і 6-му наборах невизначені значення, а на інших наборах (починаючи з 7-го і закінчуючи 0-им набором) значення представляються 6-ма молодшими розрядами 16-кового числа 1. Мінімізовану функцію реалізувати на елементах монобазиса АБО-НЕ з довільною кількістю входів.

19
Мінімізувати за "1" і за "0" (результати - ДНФ та КНФ, відповідно) за допомогою карти Карно ФАЛ3, яка має на 3-му і 6-му наборах невизначені значення, а на інших наборах (починаючи з 7-го і закінчуючи 0-им набором) значення представляються 6-ма молодшими розрядами 16-кового числа 1. Мінімізовану функцію реалізувати на елементах монобазиса АБО-НЕ з кількістю входів не більше 2.

20
Мінімізувати за "1" і за "0" (результати - ДНФ та КНФ, відповідно) за допомогою карти Карно ФАЛ3, яка має на 3-му і 6-му наборах невизначені значення, а на інших наборах (починаючи з 7-го і закінчуючи 0-им набором) значення представляються 6-ма молодшими розрядами 16-кового числа 1. Мінімізовану функцію реалізувати на дешифраторі типу "3 у 8".

21
Мінімізувати за "1" і за "0" (результати - ДНФ та КНФ, відповідно) за допомогою карти Карно ФАЛ3, яка має на 3-му і 6-му наборах невизначені значення, а на інших наборах (починаючи з 7-го і закінчуючи 0-им набором) значення представляються 6-ма молодшими розрядами 16-кового числа 1. Мінімізовану функцію реалізувати на мультиплексорі типу "8 у 1".

22
На елементах базиса Буля намалювати схему та символ вузла, що виконує операцію І над двома 4-бітними числами. На схемі позначити стани входів і виходів усіх елементів при виконанні операції над 16-ковими кодами 1 та 9

23
На елементах базиса Буля намалювати схему та символ вузла, що виконує операцію АБО над двома 4-бітними числами. На схемі позначити стани входів і виходів усіх елементів при виконанні операції над 16-ковими кодами 1 та 9

24
На елементах базиса Буля намалювати схему та символ вузла, що виконує операцію XOR над двома 4-бітними числами. На схемі позначити стани входів і виходів усіх елементів при виконанні операції над 16-ковими кодами 1 та 9

25
Намалювати символ ПЗП з організацією 1M x 32 і визначити його об'єм у байтах, користуючись префіксами К або М або Г так, щоб число, що показує об'єм було цілим і не більш ніж тризначним

26
Визначити і підкреслити, скільки (у 16-ковій системі числення) елементів І містить дешифратор "2 у 4"

27
Визначити і підкреслити, скільки (у 16-ковій системі числення) елементів І містить мультиплексор "2 у 1".

28
Визначити і підкреслити, скільки (у 16-ковій системі числення) входів має шифратор з 2 виходами

29
Визначити і підкреслити, скільки (у 16-ковій системі числення) входів має ПЗП з організацією 4 сл. х 16 розрядів

30
Визначити і підкреслити, скільки (у 16-ковій системі числення) виходів має ПЗП з організацією 4 сл. х 16 розрядів

31
Визначити і підкреслити, на скільки (у 16-ковій системі числення) більше входів має ПЗП з організацією 1М х 8 розрядів, ніж ПЗП з організацією 1К х 8

32
На базі муьтиплексорів "16 у 1" намалювати схему, яка формує ознаку "Рівно", коли в неї на вході є 8-розрядний двійковий код, який у 16-ковому коді записується як 0

33
На базі дешифраторів "4 у 16" намалювати схему, яка формує ознаку "Рівно", коли в неї на вході є 8-розрядний двійковий код, який у 16-ковому коді записується як 0

34
На базі універсальних 4-розрядних компараторів намалювати схему, яка формує ознаку "Рівно", коли в неї на вході є 8-розрядний двійковий код, який у 16-ковому коді записується як 0

35
Перетворення коду 8421 у код 8421+3 на дешифраторі і шифраторі

36
Перетворення коду 8421+3 у код 2421 на ПЗП (символ, таблиця прошиття, матрична схема)

37
Перетворення коду 5121 у сусідній код на ПЛМ (символ, таблиця прошиття, матрична схема)

38
Визначити і підкреслити, яким буде результат логічного зсуву ліворуч 4-бітного числа, 16-ковий код якого дорівнює 1, якщо на вхід переносу подається 0

39
Визначити і підкреслити, яким буде результат логічного зсуву праворуч 4-бітного числа, 16-ковий код якого дорівнює 1, якщо на вхід переносу подається 1

40
Визначити і підкреслити, яким буде результат арифметичного зсуву ліворуч 4-бітного числа, 16-ковий код якого дорівнює 1, якщо на вхід переносу подається 0

41
Визначити і підкреслити, яким буде результат арифметичного зсуву праворуч 4-бітного числа, 16-ковий код якого дорівнює 1

42
Визначити і підкреслити, яким буде результат циклічного зсуву праворуч 4-бітного числа, 16-ковий код якого дорівнює 1

43
Визначити і підкреслити, яким буде результат циклічного зсуву ліворуч 4-бітного числа, 16-ковий код якого дорівнює 1

44
На базі елементів ВИКЛЮЧНЕ-АБО намалювати схему 4-розрядного компаратора і його символ. На схемі позначити стани входів і виходів усіх елементів при порівняння 16-кових кодів 1 та 9

45
На базі 1-розрядних суматорів намалювати схему 4-розрядного суматора і його символ. На схемі позначити стани входів і виходів усіх елементів при додаванні 16-кових кодів 1 та 9

46
На базі 1-розрядних суматорів намалювати схему 4-розрядного віднімача і його символ. На схемі позначити стани входів і виходів усіх елементів при відніманні 16-кових кодів 1 та 9

47
На базі 1-розрядних суматорів намалювати схему 4-розрядного суматора з вузлом прискорення переносу і його символ. На схемі позначити стани входів і виходів усіх елементів при додаванні 16-кових кодів 1 та 9

48
На елементах базиса Буля намалювати схему та символ вузла, що виконує операцію І над двома 4-бітними числами. На схемі позначити стани входів і виходів усіх елементів при виконанні операції над 16-ковими кодами 1 та 9

49
На елементах базиса Буля намалювати схему та символ вузла, що виконує операцію АБО над двома 4-бітними числами. На схемі позначити стани входів і виходів усіх елементів при виконанні операції над 16-ковими кодами 1 та 9

50
На елементах базиса Буля намалювати схему та символ вузла, що виконує операцію XOR над двома 4-бітними числами. На схемі позначити стани входів і виходів усіх елементів при виконанні операції над 16-ковими кодами 1 та 9

51
Визначити і підкреслити 16-ий код, що буде читатися з десяткової адреси 0 ПЗП, який використовується для обчислення результату S = 1M + 1N, де M і N - дворозрядні двійкові числа, розряди яких m0, m1, n0, n1 заведені на адресні входи a0,…,a3 ПЗП і 0-ві розряди - молодші

52
Намалювати символ, таблицю істинності та схему RS-тригера і часову діаграму зміни станів його прямого та інверсного виходів, якщо на протязі 8 тактів роботи на його входи подається послідовність сигналів, яка представлена 16-ковими числами: 10 на вході R, та 99 на вході S. Послідовність починається з старших розрядів двійкового коду, які на протязі такту не змінюються. Початковий стан тригера 0.

53
Намалювати символ, таблицю істинності та схему неRнеS-тригера і часову діаграму зміни станів його прямого та інверсного виходів, якщо на протязі 8 тактів роботи на його входи подається послідовність сигналів, яка представлена 16-ковими числами: 40 на вході неR, та 89 на вході неS. Послідовність починається з старших розрядів двійкового коду, які на протязі такту не змінюються. Початковий стан тригера 0.

54
Намалювати символ та таблицю істинності D-тригера, що спрацьовує по тілу, та часову діаграму зміни його стану, якщо на протязі 8 тактів роботи на його вхід D подається послідовність сигналів, яка представлена 16-ковим числом 40, а високий рівень на вході С починається посередині 1, 3, 5, 7 тактів і закінчується посередині 2, 4, 6, та 8 тактів. Послідовність починається з старших розрядів двійкового коду, які на протязі такту не змінюються. Початковий стан тригера 0.

55
Намалювати символ та таблицю істинності D-тригера, що спрацьовує по фронту, та часову діаграму зміни його стану, якщо на протязі 8 тактів роботи на його вхід D подається послідовність сигналів, яка представлена 16-ковим числом 40, а високий рівень на вході С починається посередині 1, 3, 5, 7 тактів і закінчується посередині 2, 4, 6, та 8 тактів. Послідовність починається з старших розрядів двійкового коду, які на протязі такту не змінюються. Початковий стан тригера 0.

56
Намалювати символ та таблицю істинності T-тригера та часову діаграму зміни його стану, якщо на протязі 8 тактів роботи на його вхід C подається послідовність сигналів, яка представлена 16-ковим числом 60. Послідовність починається з старших розрядів двійкового коду, які на протязі такту не змінюються. Початковий стан тригера 0.

57
Намалювати символ та таблицю істинності JK-тригера та часову діаграму зміни його станів, якщо на протязі 8 тактів роботи на його входи подається послідовність сигналів, яка представлена 16-ковими числами: 70 на вході K, та 99 на вході J, а високий рівень на вході С починається посередині 1, 3, 5, 7 тактів і закінчується посередині 2, 4, 6, та 8 тактів. Послідовність починається з старших розрядів двійкового коду, які на протязі такту не змінюються. Початковий стан тригера 0.

58
Визначити і підкреслити мінімальну кількість тригерів (у 16-ковій системі числення) у пам'яті автомата Мура, який може знаходитися у 1 стані (станах) при унітарному кодуванні станів

59
Визначити і підкреслити мінімальну кількість тригерів (у 16-ковій системі числення) у пам'яті автомата Мура, який може знаходитися у 1 стані (станах) при двійковому кодуванні станів

60
Намалювати граф, таблиці переходів та виходів, написати скорочені ДНФ функцій переходів та виходів, та намалювати схему автомата Мура на основі елементів базису Буля і D-тригерів. Якщо немає сигналу X, автомат послідовно проходить стани 0, 1, 2, 3, 0, ..., а при появі сигналу X переходить із стану 0 до стану 0 (при цьому послідовність зміни інших станів не міняється). Вихідний сигнал Y формується у станах 0 та 1

61
Намалювати граф, таблиці переходів та виходів, написати скорочені ДНФ функцій переходів та виходів, та намалювати схему автомата Мілі на основі елементів базису Буля і D-тригерів. Якщо немає сигналу X, автомат послідовно проходить стани 0, 1, 2, 3, 0, ..., а при появі сигналу X переходить із стану 0 до стану 0 (при цьому послідовність зміни інших станів не міняється). Вихідний сигнал Y формується при переході з станів 0 та 1